CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 方波 vhdl

搜索资源列表

  1. waveform_generator

    0下载:
  2. VHDL语言编写的波形发生器程序,可以产生方波、三角波、正弦波、锯齿波等波形-Waveform generator written in VHDL program that can generate a square wave, triangle wave, sine wave, sawtooth wave, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:617397
    • 提供者:ldong1989
  1. fangbo

    0下载:
  2. 关于方波发生器的VHDL代码,用rom表装载数据然后AD转换-Katanami the code generators vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:41523
    • 提供者:张陈梅
  1. jiyuVHDLshizhongchengxu

    0下载:
  2. 基于VHDL的时钟、正弦波和方波实验报告-VHDL-based clock, sine and square wave experiment report
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:726523
    • 提供者:曾斌
  1. design

    0下载:
  2. 基于vhdl的dds发生器,精度可达到1Hz,包含正弦波,三角波,方波-DDS based on VHDL,display resolution 1Hz, include sine wave,triangular wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:400827
    • 提供者:海蓝漂流瓶
  1. dds

    0下载:
  2. 自己写的dds工程文件,用的VHDl,三角波,方波。正玄波-Dds engineering documents, write your own used VHDl, triangle wave, square wave. Positive sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1202889
    • 提供者:chen
  1. wave_gen

    0下载:
  2. VHDL编写的波形发生器,可以产生方波,并可自己调整所产生的波形占空比等-VHDL prepared by the waveform generator, can produce a square wave, and may adjust the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:845
    • 提供者:小幂控
  1. pinlvji_VHDL

    0下载:
  2. 可以测量方波的频率,脉宽,幅度。采用VHDL编写。-Can measure the frequency, pulse width, amplitude of the square wave. Written using VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:8244403
    • 提供者:张扬
  1. DDS

    0下载:
  2. FPGA,基于VHDL语言,用于ROM查找表的方式,实现DDS,能够输出正弦,方波,锯齿波,方波四种波形,可以改变幅值和频率。-DDS based on FPGA(VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:6305
    • 提供者:王芳
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. xinhao

    0下载:
  2. 简易信号发生器,可输出三种波形,递增锯齿波发生器模块,正弦波发生器模块,方波发生器模块,波形选择器模块,vhdl-Simple signal generator can output three waveforms, incremental sawtooth generator module, the sine wave generator module, a square wave generator module, waveform selector module, vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:60459
    • 提供者:沈微
  1. dds

    0下载:
  2. FPGA中用VHDL语言实现的多种波形(正弦、余弦、三角、方波)调制。-modulation by FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:938175
    • 提供者:王臣
  1. hsk4571_sgna_generator

    0下载:
  2. 信号发生器的VHDL实现,可调节波形及频率,方波、锯齿波、三角波等,在QUATTUS||9.0下编写,可在9.0及以上版本运行并下载,芯片为Altera的Cyclone3 EP3C8T1-Signal Generator VHDL implementation, adjustable waveform and frequency, square wave, sawtooth, triangle, etc., in QUATTUS | | 9.0 under preparation, can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:9726474
    • 提供者:hongsk
  1. function-of-fangbozhenxianandsanjiao

    0下载:
  2. 基于FPGA的函数信号发生器VHDL设计,包括方波、三角波和正弦波-FPGA-based VHDL design function signal generator, including a square wave, triangle wave and sine
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:119960
    • 提供者:eeant
  1. myproj

    1下载:
  2. 使用vhdl语言设计波形发生器,产生正弦波,方波,三角波,锯齿波,实现频率,幅度可调。项目包附有设计说明和资料。-Waveform generator using vhdl language design, produce sine, square, triangle, ramp, realize the frequency, amplitude adjustable. Project package with design specifications and data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16139670
    • 提供者:李伟杰
  1. the-realization-of-signals

    0下载:
  2. 使用VHDL语言,实现递增锯齿波、递减锯齿波、三角波、阶梯波、方波和正弦波等五种波形的产生输出-The use of VHDL language to achieve incremental ramp, descending sawtooth, triangle wave, step wave, square wave and sine wave output waveform generation and other five
  3. 所属分类:Wavelet

    • 发布日期:2017-04-16
    • 文件大小:18767
    • 提供者:布拉格宝贝
  1. wave_freq

    0下载:
  2. 在VHDL/verilog环境下产生可调频率的波形,如三角波,方波,矩形波,同时支持计数功能,供参考-Adjustable frequency waveform generator in VHDL/verilog environment, such as triangle wave, square wave, rectangular wave, while supporting the counting function, for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:1818624
    • 提供者:haoyuguang
  1. DDS

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号发生器,。
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4485169
    • 提供者:冯阳
  1. fangbo--quartus

    0下载:
  2. VHDL语言编写的FPGA产生方波的程序,可供参考-VHDL program language to write the FPGA to produce square wave, for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1320
    • 提供者:Smith Jick
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. 1khz-square-wave

    0下载:
  2. 基于quartus ii的vhdl编程 产生1k方波-1k produce a square wave quartus ii vhdl based programming
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:214494
    • 提供者:康健
« 1 2 3 4 56 »
搜珍网 www.dssz.com